|  Help  |  About  |  Contact Us

Publication : Identification of a protein that binds to the SH3 region of Abl and is similar to Bcr and GAP-rho.

First Author  Cicchetti P Year  1992
Journal  Science Volume  257
Issue  5071 Pages  803-6
PubMed ID  1379745 Mgi Jnum  J:28608
Mgi Id  MGI:76129 Doi  10.1126/science.1379745
Citation  Cicchetti P, et al. (1992) Identification of a protein that binds to the SH3 region of Abl and is similar to Bcr and GAP-rho. Science 257(5071):803-6
abstractText  A Src homology 3 (SH3) region is a sequence of approximately 50 amino acids found in many nonreceptor tyrosine kinases and other proteins. Deletion of the SH3 region from the protein encoded by the c-abl proto-oncogene activates the protein's transforming capacity, thereby suggesting the participation of the SH3 region in the negative regulation of transformation. A complementary DNA was isolated that encoded a protein, 3BP-1, to which the SH3 region of Abl bound with high specificity and to which SH3 regions from other proteins bound differentially. The sequence of the 3BP-1 protein is similar to that of a COOH-terminal segment of Bcr and to guanosine triphosphatase-activating protein (GAP)-rho, which suggests that it might have GAP activity for Ras-related proteins. The 3BP-1 protein may therefore be a mediator of SH3 function in transformation inhibition and may link tyrosine kinases to Ras-related proteins.
Quick Links:
 
Quick Links:
 

Expression

Publication --> Expression annotations

 

Other

2 Bio Entities

Trail: Publication

0 Expression